Jumat, 31 Desember 2010

MENYENDIRI


MENYENDIRI merupakan suatu cara bagi kebanyakan orang untuk menenangkan diri. Pada dasarnya manusia memiliki titik jenuh yang sangat berbeda-beda . Karna kehidupan ini tidaklah luput dari masalah-masalah yang ada, tantangan bagi kita untuk mengatasi masalah tersebut, tapi setiap orang memiliki kelemahan  masing-masing dalam menghadapi suatu masalah. Menyendiri adalah suatu cara yang dapat meringankan kejenuhan kita dalam menghadapi masalah. 

Kamis, 30 Desember 2010

TAHUN BARU

Siapa yang tak kenall tahun baru, Tahun Baru merupakan momen - momen penting dalam hidup,,,

Tahun Baru pertama kali dirayakan pada tanggal 1 Januari 45 SM. Tidak lama setelah Julius Caesar dinobatkan sebagai kaisar Roma, ia memutuskan untuk mengganti penanggalan tradisional Romawi yang telah diciptakan sejak abad ketujuh SM. Dalam mendesain kalender baru ini, Julius Caesar dibantu oleh Sosigenes, seorang ahli astronomi dari Iskandariyah, yang menyarankan agar penanggalan baru itu dibuat dengan mengikuti revolusi matahari, sebagaimana yang dilakukan orang-orang Mesir. Satu tahun dalam penanggalan baru itu dihitung sebanyak 365 seperempat hari dan Caesar menambahkan 67 hari pada tahun 45 SM sehingga tahun 46 SM dimulai pada 1 Januari. Caesar juga memerintahkan agar setiap empat tahun, satu hari ditambahkan kepada bulan Februari, yang secara teoritis bisa menghindari penyimpangan dalam kalender baru ini. Tidak lama sebelum Caesar terbunuh di tahun 44 SM, dia mengubah nama bulan Quintilis dengan namanya, yaitu Julius atau Juli. Kemudian, nama bulan Sextilis diganti dengan nama pengganti Julius Caesar, Kaisar Augustus, menjadi bulan Agustus.

sumber :
http://id.wikipedia.org

Membandingkan dua media massa


Pengutil Itu Menyembunyikan Sepatu di..Balik Branya

REPUBLIKA.CO.ID, FLORIDA--Ekonomi Amerika Serikat yang memburuk membuat seorang perempuan negara itu yang kehilangan pekerjaan mencoba peruntungan baru: menjadi pengutil di pusat perbelanjaan. Ia biasanya mengambil barang-barang bermerek yang nilainya mahal.

Namun kali ini, dia ketiban sial. Bersama teman prianya, ia
mengutil sepasang sepatu di Beall's Outlet. Modus operandinya pun lumayan rapi: disembunyikan di dalam bra, di balik, maaf, payudaranya. Namun yang luput dari perhatian mereka, ternyata kamera CCTV mengintai gerak-gerik mereka.

Maka begitu keluar mal, mereka digeledah. Aparat keamanan menemukan sepasang sepatu seharga 159,88 dolar AS masih ngumpet di tempat tersembunyiannya. Plus satu "bonus": barang lain di tas teman prianya senilai 17,99 dolar AS. Kini keduanya meringkuk di penjara untuk mempertanggungjawabkan perbuatannya.


Pembenaran dalam paragraf di atas :
pengutil = pencuri
mrngutil = mencuri



Kebakaran Lumat Empat Rumah Kontrakan


JAKARTA, KOMPAS.com - Empat rumah kontrakan di kawasan Kembangan Utara, RT 08 RW 01, Kembangan, Jakarta Barat, Selasa (28/12/2010), hangus akibat dilalap si jago merah.
Menurut petugas operator radio TMC Polda Metro Jaya, Aipda Herbet, aApi diketahui bermula sekitar pukul 15.00 WIB. "Asal api diduga berasal dari sebuah kos-kosan milik Ibu Hj Samsiah. Penyebabnya korsleting listrik. Tidak ada korban jiwa atau pun korban luka dari kebakaran tersebut," ujar Aipda Herbet.
Hingga laporan ini diturunkan, petugas Dinas Pemadam Kebakaran DKI Jakarta telah tiba di lokasi kejadian dan mmbawa empat mobil damkar. Saat ini api telah berhasil dipadamkan.
Pembenaran dalam paragraf di atas :
aApi   = api
mmbawa = membawa

Hasil Perbandingan :
·    Menurut saya ,Setiap media massa pasti mempunyai target pembaca tersendiri. Ada yang dari kalangan bawah, menengah dan menengah ke atas. Koran Kompas merupakan media massa yang mempunyai target pembaca menengah ke atas, sedangkan Republika menengah ke bawah. Semua itu dapat kita lihat dari penggunaan kata-katanya.


Rabu, 29 Desember 2010

Perbedaan antara Arus dan Tegangan

sering kita salah mengartikan antara tegangan dengan arus ,,,,
di sini saya akan menerangkan perbedaan antara arus listrik dan tegangan listrik,,,

 ARUS 

Arus listrik dapat didefinisikan sebagai jumlah muatan listrik yang mengalir tiap satuan waktu. Biasanya arus memiliki satuan  A (Ampere) atau dalam rumus terkadang ditulis I. Arus listrik merupakan gerakan kelompok partikel bermuatan listrik dalam arah tertentu.  Arah arus listrik yang mengalir dalam suatu konduktor adalah dari potensial tinggi ke potensial rendah (berlawanan arah dengan gerak elektron). Satu ampere sama dengan 1 couloumb dari electron melewati satu titik pada satu detik. Pada kasus ini, besarnya energi listrik yang bergerak melewati conductor (penghantar).
Muatan listrik bisa mengalir melalui kabel atau penghantar listrik lainnya. Pada zaman dulu, Arus konvensional didefinisikan sebagai aliran muatan positif, sekalipun kita sekarang tahu bahwa arus listrik itu dihasilkan dari aliran elektron yang bermuatan negatif ke arah yang sebaliknya.

TEGANGAN 

Tegangan listrik (Voltage) adalah perbedaan potensi listrik antara dua titik dalam rangkaian listrik. Tegangan dinyatakan dalam satuan volt (V). Besaran ini mengukur energi potensial sebuah medan listrik untuk menyebabkan aliran listrik dalam sebuah konduktor listrik. Tergantung pada perbedaan potensi listrik satu tegangan listrik dapat dikatakan sebagai ekstra rendah, rendah, tinggi atau ekstra tinggi.
Tegangan adalah sebenarnya nilai dari potensial energi antara dua titik. Pada sebuah rangkaian, besar energi potensial yang ada untuk menggerakkan electron pada titik satu dengan titik yang lainnya merupakan jumlah tegangan.


References 
http://ebooks.lib.unair.ac.id/ristek2/sponsor/Sponsor-Pendamping/Praweda/Fisika/Fisika%202.htm
http://taghyr.wordpress.com/2008/08/20/pengertian-hambatan-arus-tegangan-dan-bunyi-hukum-ohm/


KENAPA KITA HARUS BELAJAR BAHASA INDONESIA??

"Menurut saya Indonesia merupakan bahasa yang harus kita junjung tingi, karna bahasa Indonesia merupakan bahasa resmi Indonesia yang merupakan bahasa persatuan dan kesatuan bangsa Indonesia.Diresmikan setelah proklamasi kemerdekaan Indonesia, jadi bahasa Indonesia merupakan bahasa yang harus kita junjung tinggi, demi persatuan dan kesatuan Indonesia."

Tugas Paragrap

Paragraph definisi

ARUS LISTRIK

Arus listrik dapat didefinisikan sebagai jumlah muatan listrik yang mengalir tiap satuan waktu. Arus listrik memiliki satuan  A (Ampere) atau dalam rumus terkadang ditulis I. Arus listrik merupakan gerakan kelompok partikel bermuatan listrik dalam arah tertentu.  Arah arus listrik yang mengalir dalam suatu konduktor adalah dari potensial tinggi ke potensial rendah (berlawanan arah dengan gerak elektron). Satu ampere sama dengan 1 couloumb dari electron melewati satu titik pada satu detik. Pada kasus ini, besarnya energi listrik yang bergerak melewati conductor (penghantar).

Paragraph sebab akibat

AKIBAT LONGSOR

Hampir delapan belas jam masyarakat Kec Subang, Cilebak dan Selajambe terisolasi. Hal itu disebabkan ruas jalan yang menghubungkan ke daerah tersebut tertimbun tanah longsoran sepanjang 25 meter. Selain jalan, juga kebun dan sebagian sawah penduduk setempat. Kejadian itu tepatnya di Kampung atau bukit Camas Desa Cantilan Kec Selajambe sedangkan kejadiannya berlangsung Minggu (28/12) pukul 19.45 wib.

Paragraph proses

PENYEBAB TERJADINYA TANAH LONGSOR
Pada prinsipnya tanah longsor terjadi bila gaya pendorong pada lereng lebih besar daripada gaya penahan. Gaya penahan umumnya dipengaruhi oleh kekuatan batuan dan kepadatan tanah. Sedangkan gaya pendorong dipengaruhi oleh besarnya sudut lereng, air, beban serta berat jenis tanah batuan.

Paragraph klasifikasi

SEPEDA MOTOR

Dewasa ini sepeda motor sangat banyak di sukai oleh masyarakan Indonesia, karna harganya yang terjangkau, sepeda motor pun lebih efisien dari pada mobil. Sepeda motor kini bermacam bermacam - macam jenisnya, tergantung seberapa besar cc yang dimiliki oleh motor tersebut, rata - rata sepeda motor yang memiliki cc di bawah 150 cc berkisar antara 17 sampai 15 juta-an.

Paragraph contoh

MENCEGAH BANJIR


Akhir - akhir ini Jakarta sering di guyur hujan ,debit air yang terlalu banyak membuat beberapa wilayah d Jakarta tergenang air, hingga membuat aktifitas masyarakat Jakarta terhambat. Bencana banjir hampir setiap musim penghujan melanda Indonesia. Berdasarkan nilai kerugian dan frekuensi kejadian bencana banjir terlihat adanya peningkatan yang cukup berarti. Kejadian bencana banjir tersebut sangat dipengaruhi oleh faktor alam berupa curah hujan yang diatas normal dan adanya pasang naik air laut. Disamping itu faktor ulah manusia juga berperan penting seperti penggunaan lahan yang tidak tepat (pemukiman di daerah bantaran sungai, di daerah resapan, penggundulan hutan, dan sebagainya), pembuangan sampah ke dalam sungai, pembangunan pemukiman di daerah dataran banjir dan sebagainya.
Begitu jelas penyebab banjir, tepi masih banyak masyarakat Jakarta yang tidak menyadarinya, membuah sampah tidak pada tempatnya adalah kebiasaan yang harus kita tinggal kan, dan harus kita sadari bahwa itu suatu tindakan yang tidak baik.




Minggu, 24 Oktober 2010

Tugas 1 Bahasa Inonesia, 100 kata baku dan tidak baku

Berikut ini merupakan kata baku dan tidak baku, kata baku ada disebelah kiri dan kata tidak bakunya ada disebelah kanan.

1. aktif = aktip, aktive
2. aktiveaksi = action
3. amfibi = amphibi
4. akuarium = aquarium
5. analisis = analisa
6. apotek = apotik
7. asas = azas
8. asasi = azasi
9. ateis = atheis
10. atlet = atlit
11. atmosfer = Atmosfir, atmosphere
12. bus = bis
13. cabai = cabe, cabay
14. daftar = daptar
15. dekret = dekrit
16. dalam = dalem
17. detail = detil
18. doa = do’a
19. efektif = efektip, efektive, epektip, epektif
20 . efektivitas = efektifitas
21. eksem = eksim, exim
22. ekstrem = ekstrim, extrim
23. elite = elit
24. e-mail = email, imel
25. faksimile = faksimili, faksimil
26. Februari = Pebruari, February
27. foto = photo
28. fotokopi = foto copy, photo copy, photo kopi
29. hakikat = hakekat
30. habis = abis
31. hipotesis = hipotesa
32. ijazah = ijasah, izajah
33. influenza = influensa
34. institut = institute
35. izin = ijin
36. jadwal = jadual
37. Jumat = Jum’at
38. kaidah = kaedah 
39. kalau = kalo 
40. kanguru = kangguru 
41. kantong = kantung
42. karena = karna
43. karier = karer
44. karisma = kharisma
45. karismatik = kharismatik
46. kategori = katagori
47. kaus = kaos
48. khotbah = khutbah
49. komplet = komplit, kumplit
50. konferensi = konperensi
51. kongres = konggres
52. konkret = kongkret, kongkrit, konkrit
53. kreatif = kreatip, kreative
54. kreativitas = kreatifitas
55. kredit = kridit
56. kualitas = kwalitas, kwalitet
57. kuantitas = kwantitas
58. kuitansi = kwitansi
59. kultur = culture
60. kuota = kwota
61. laknat = la’nat
62. lembap = lembab
63. lubang = lobang
64. maaf = ma’af
65. makhluk = mahluk
66. masyhur = mashur
67. mukjizat = mu’jizat
68. napas = nafas
69. nasihat = nasehat
70. negeri = negri
71. nikmat = ni’mat
72. november = nopember
73. objek = obyek
74. pasif = pasip, pasive, fasip
75. penasihat = penasehat
76. petai = pete, petay
77. proklamasi = proklamir
78. provinsi = propinsi, profinsi
79. proyek = projek, project
80. rezim = rejim
81. risiko = resiko
82. rizki = rezeki, rejeki, riski, rizqi
83. rubuh = roboh
84. sintesis = sintesa
85. sistem = sistim, system
86. stroberi = strawberi, strawbery
87. subjek = subyek
88. surga = syurga, sorga
89. saraf = syaraf, sarap
90. sentimeter = centimeter 
91. taoge = tauge, toge
92. teknik = tehnik, tekhnik
93. teknologi = tekhnologi, tehnologi
94. teladan = tauladan
95. telepon = telpon, telfon, telefon, telephone
96. telur = telor
97. tobat = taubat
98. ubah = rubah, robah
99. zaman = jaman
100. zat =dzat

Library IEEE

SEJARAH VHDL

VHDL awalnya dikembangkan atas perintah dari AS Departemen Pertahanan dalam rangka untuk mendokumentasikan perilaku ASICS bahwa perusahaan pemasok yang termasuk dalam peralatan.  Artinya, VHDL dikembangkan sebagai alternatif untuk besar, manual kompleks yang dikenakan detail implementasi khusus. . Gagasan untuk dapat mensimulasikan dokumentasi ini begitu jelas menarik bahwa simulator logika dikembangkan yang dapat membaca file VHDL.  Langkah selanjutnya adalah pengembangan sintesis logika alat yang membaca VHDL, dan output definisi pelaksanaan fisik sirkuit.  alat sintesis modern dapat mengekstrak RAM , counter , dan blok aritmatika keluar dari kode, dan menerapkannya sesuai dengan apa yang user menentukan. Dengan demikian, kode VHDL yang sama dapat disintesis berbeda untuk daerah terendah, terendah daya konsumsi, clock speed tertinggi, atau persyaratan lainnya.
VHDL banyak meminjam dari bahasa pemrograman di kedua konsep (misalnya, notasi slice untuk bagian pengindeksan sebuah array dimensi satu) dan sintaks .VHDL memiliki konstruksi untuk menangani paralelisme yang melekat dalam desain perangkat keras, tetapi konstruksi (proses) berbeda dalam sintaks dari paralel dengan konstruksi di Ada (tugas). Like Ada, VHDL is strongly typed and is not case sensitive . Seperti Ada, VHDL sangat diketik dan tidak sensitif huruf .
Ada banyak fitur dari VHDL yang tidak ditemukan di Ada, seperti set diperpanjang operator Boolean termasuk nand dan juga, untuk secara langsung merupakan usaha yang umum di hardware.  VHDL juga memungkinkan array untuk diindeks di kedua arah (menaik atau menurun) karena kedua konvensi digunakan dalam perangkat keras, sedangkan Ada (seperti kebanyakan bahasa pemrograman) menyediakan naik pengindeksan saja.. Alasan kesamaan antara kedua bahasa adalah bahwa Departemen Pertahanan yang diperlukan sebanyak mungkin sintaks harus didasarkan pada Ada, untuk menghindari re-inventing konsep yang telah diuji secara menyeluruh dalam pengembangan Ada
. Versi awal VHDL, dirancang untuk IEEE standar 1076-1987 , termasuk berbagai jenis data, termasuk numerik ( integer dan real ), logis ( bit dan boolean ), karakter dan waktu , ditambah dengan array dari disebut bit_vector bit dan karakter disebut string .
Suatu masalah tidak diselesaikan dengan edisi ini, bagaimanapun, adalah "multi-nilai logika", dimana drive's kekuatan sinyal (tidak kuat, lemah atau) dan nilai-nilai yang tidak diketahui juga dipertimbangkan.  Ini diperlukan standar IEEE 1164 , yang mendefinisikan nilai logika jenis-9: std_ulogic skalar dan vektor std_ulogic_vector versinya.
Isu kedua IEEE 1076 , pada tahun 1993, membuat sintaks lebih konsisten, memungkinkan fleksibilitas yang lebih dalam penamaan, memperluas character tipe untuk memungkinkan ISO-8859-1 karakter yang dapat dicetak, menambahkan xnor operator, dll
Perubahan kecil dalam standar (2000 dan 2002) menambahkan gagasan jenis dilindungi (mirip dengan konsep kelas di C + +) dan dihapus beberapa pembatasan dari aturan pemetaan pelabuhan.
Selain standar IEEE 1164, standar beberapa anak diperkenalkan untuk memperluas fungsi bahasa.  IEEE 1076,2 standar ditambahkan penanganan lebih baik dari tipe data yang nyata dan kompleks.
IEEE 1076,3 standar diperkenalkan signed dan unsigned jenis operasi aritmatika untuk memfasilitasi dalam vektor.IEEE 1076,1 standar (dikenal sebagai VHDL-AMS ) yang disediakan-sinyal rangkaian desain ekstensi dan campuran analog.
Beberapa standar lain mendukung penggunaan VHDL lebih luas, terutama VITAL (VHDL Inisiatif Menuju Perpustakaan ASIC) dan microwave ekstensi desain sirkuit.
Pada bulan Juni 2006, Komite Teknis VHDL Accellera (dilimpahkan oleh IEEE untuk bekerja pada update berikutnya standar) menyetujui disebut Draft 3.0 dari VHDL-2006.  Tetap menjaga kompatibilitas penuh dengan versi yang lebih tua, ini standar yang diusulkan memberikan banyak ekstensi yang membuat tulisan dan mengelola kode VHDL lebih mudah. Perubahan utama meliputi penggabungan standar anak (1164, 1.076,2, 1.076,3) ke standar 1076 utama, satu set diperpanjang operator, sintaks yang lebih fleksibel 'kasus' dan 'menghasilkan' laporan, penggabungan VHPI (interface untuk C / C + + bahasa) dan subset dari PSL ( Properti Spesifikasi Bahasa ). Perubahan ini harus meningkatkan kualitas kode VHDL disintesis, membuat testbenches lebih fleksibel, dan memungkinkan penggunaan lebih luas deskripsi VHDL untuk sistem-tingkat.
In February 2008,  Pada bulan Februari 2008, Accellera disetujui VHDL 4.0 juga informal dikenal sebagai VHDL 2008, yang ditujukan lebih dari 90 masalah ditemukan selama masa uji coba untuk versi 3.0 dan ditingkatkan termasuk jenis generik. In 2008, Accellera dirilis VHDL 4.0 untuk IEEE untuk pemungutan suara atas penyertaan dalam IEEE 1076-2008.  Standar VHDL IEEE 1076-2008 telah disetujui oleh RevCom pada bulan September 2008.



SUMBAR :
•    http://en.wikipedia.org/wiki/VHDL
•    www.google.com

Senin, 18 Oktober 2010

FPGA

FPGA merupakan kependekan dari Field Programmable Gate Array yang mempunyai beberapa fungsi yang sangat berguna bagi perkembangan teknologi masa kini, salah satunya adalah sebagai alat untuk mensimulasikan suatu percobaan - percobaan dalam bidang elektronika.

Selasa, 08 Juni 2010

Skema Rangkaian BCD TO 7 SEGMENT DECODER

Skema Rangkaian



sumbaer :
  • http://www.wikipedia.org/
  • http://www.google.com

electronic-schema.blogspot.com

5.2 Saran.

Pembuatan proyek rangkaian digital seperti ini ternyata sangat membantu dalam kreatifitas para mahasiswa, terutama kami sebagai mahasiswa jurusan Sistem Komputer yang tentunya sangat perlu belajar untuk membuat proyek-proyek rangkaian digital seperti ini. Dan rangkaian ini dapat dikembangkan lebih luas lagi sehingga hasilnya akan lebih bermanfaat.
Rangkaian BCD to 7 Segment Decoder ini mungkin masih jauh dari kesempurnaan karena masih adanya keterbatasan ilmu pengetahuan, oleh karena itu masih banyak kemungkinan pengembangan yang dapat dilakukan dari rangkaian BCD to 7 Segment Decoder ini. Dari keseluruhan kerja yang telah kami lakukkan, dan berbagi kendala yang terjadi selama proses pembuatan rangkaian BCD to 7 Segment Decoder ini, mulai dari pembuatan skema pada Printed Circuit Board (PCB), pemasangan, dan penyolderan komponen hingga pemasangan ke dalam box / aklirik yang telah jadi, penulis menyarankan beberapa hal, antara lain :
v     Untuk pembuatan skema pada PCB, beri mahasiswa pelatihan khusus untuk membuat skema pada PCB dan yakinkan bahwa hitamnya tinta sebuah bagus / penuh (tidak berpori / berserat) sebelum merendamnya dalam larutan Ferri Clorit agar hasilnya bagus.
v     Pada saat pemasangan komponen, pastikan kaki-kaki komponen tidak tertukar tempat pada lubang (hole) PCB.
v     Untuk penyolderan, gunakan timah yang kilapnya bagus (biasanya merk Asahi) dan hindarkan terlalu lama memanaskan nya pada kaki komponen agar komponen tidak rusak (terutama untuk komponen IC) serta buatlah cairan timah pengerutan pada kaki komponen.
v     Periksalah seluruh rangkain sekali atau dua kali lagi sebelum mencoba
menggunakan BCD to 7 Segment Decoder yang telah dibuat.
  

Dan setelah melaksanakan praktikum, kami ingin menyampaikan beberapa saran diantaranya adalah :
1.   Agar materi yang disampaikan untuk bahan pembuatan proyek atau makalah lebih di tingkatkan lagi.
2.   Pemeliharaan alat-alat praktikum agar lebih diperhatikan lagi terutama IC dan komponen-komponen lainnya.
3.   Untuk waktu yang diberikan pada saat proyek dan pembuatan makalah, agar dapat ditambah.
4.   Dalam penbuatan alat dan makalah ini, kami harap kakak Asisten dapat membantu dan memberikan petunjuk.
5.   Untuk waktu yang diberikan pada saat proyek dan pembuatan makalah, agar dapat ditambah, karena tugas kami saat kuliah sangat banyak, tidak hanya mengerjakan proyek ini saja.






BAB V PENUTUP

BAB V
PENUTUP

5.1 Kesimpulan
Rangkaian BCD to 7 Segment Decoder adalah rangkaian digital yang berfungsi untuk mengkonversi bilangan BCD menjadi bilangan desimal. Alat ini menggunakan sebuah IC Decoder yang untuk menampilkan kode-kode biner menjadi tanda-tanda yang dapat ditanggapi secara visual di dalam sebuah Display.
Rangkaian ini disertai dengan 4 buah Switch yang digunakan untuk menentukan masukan (input) yakni berupa bilangan BCD (Binary-Coded Decimal). Rangkaian ini juga disertai dengan sebuah 7 Segment Display yang berfungsi sebagai output dari rangkaian ini, yakni berupa bilangan/ angka dalam bentuk desimal.
Setelah menyelesaikan proyek BCD to 7 Segment Decoder ini kami juga dapat menarik kesimpulan lain, antara lain :
a)     Untuk dapat menyelesaikan suatu proyek harus benar-benar terencana karena untuk dapat menghasilkan proyek yang baik, harus dimulai dengan
perencanaan komponen, dan kemudian pembuatan jalur rangkaian pada
papan PCB dengan memperhatikan contoh rangkaian pada sket gambar
yang diberikan.
b)     Dengan memanfaatkan teori dan praktek pada praktikum sistem digital
kami mulai merangkai komponen pada PCB yang sebelumnya telah kita
buat jalur rangkaiannya.
c)      BCD to 7 Segment Decoder ini merupakan rangkaian digital yang terdiri dari komponen-komponen seperti resistor, switch, IC (Integral Circuit), 7 segment display, dan LED, yang semuanya dirangkai sehingga didapatkan hasil seperti yang diinginkan.
d)     BCD to 7 Segment Decoder ini merupakan salah satu dari alat digital sederhana dan bermanfaat sekali pada penggunaannya, terutama pada bidang pendidikan.

BAB IV CARA PENGOPRASIAN ALAT

BAB IV
CARA PENGOPRASIAN ALAT

Pertama – tama sebelum kita melakukan  pengoperasian alat,yang harus kita perlukan adalah tegangan (voltage) untuk dapat menjalankan rangkaian ini, adapun voltage yang digunakan antara lain dari catu daya, adaptor, maupun batu battery. Apabila kita menggunakan catu daya DC maka voltage (tegangan) yang dipakai sebesar 3 V, atau kita dapat mengambil tegangan ini baik melalui adaptor ataupun batu batery yang mempunyai voltage 3 V.
Keuntungan kita menggunakan catu daya dari pada adaptor adalah kita tidak pelu takut atau khawatir apabila arus dari tegangan habis atau tidak ada, yang dikarenakan losst current/kehilangan arus. Tetapi penggunaan daripada catu daya dari adaptor perlu diperhatikan lagi, karena bila voltage terlalu besar ini bisa merusak komponen-komponen.
Setelah itu, langkah selanjutnya adalah memasang catu daya tersebut pada rangkaian, yang terdiri dari terminal (+) dan (_), dan pastikan catu daya tersebut dalam kondisi off terlebih dahulu, pada pemasangan catu daya ini, diharapkan jangan sampai salah memasang, karena apabila salah dalam pemasangannya, selain rangkaian tidak dapat berfungsi, juga dapat merusak komponen – komponen pada rangkaian tersebut.
Untuk menjalankan alat ini cukup masukkan input di 4 buah saklar yang ada. Jika saklar OFF berarti input bernilai 0, sedangkan jika saklar ON berarti input bernilai 1. Input yang harus diberikan di alat ini adalah berupa bilangan BCD (Binary-Coded Decimal). Kemudian Anda bisa melihat hasilnya di sebuah 7 Segment Display yang ada di alat ini, yakni berupa bilangan desimal.


2.3.5 Pemecah Sandi (Decoder)

           Pemecah sandi (decoder) merupakan suatu rangkaian logika terintegrasi yang berfungsi untuk menampilkan kode-kode biner menjadi tanda-tanda yang dapat ditanggapi secara visual. Sesuai dengan ragam cara penyandian, maka dapat dijumpai beragam tipe dekoder, yang salah satu diantaranya dekoder BCD ke dasan. Dekoder yang akan dipelajari dalam percobaan ini mempunyai 4 saluran masukan, dan 7 saluran keluaran. Sinyal keluaran 0 dari dekoder ini akan mengaktifkan (menyalakan) salah satu ruas  LED pada peraga 7 ruas.


Gambar 2.33 Pemecah sandi BCD ke seven segment

Untuk menyatakan bilangan desimal dalam peraga seven segment, maka tabel kebenarannya ditunjukkan pada tabel 3.1. Berdasarkan tabel tersebut dapat ditentukan fungsi logika dari masing-masing ruas. Pada percobaan ini, fungsi tersebut dikembangkan sehingga hanya diperlukan gerbang AND, OR, dan NOR masing-masing satu IC untuk menyusun rangkaian logika tiap ruas.

sumbaer :
  • http://www.wikipedia.org/
  • http://www.google.com

macao.communications.museum




Register

          Register atau yang disebut dengan memori adalah suatu rangkaian logika yang mampu menyimpan data dalam bentuk bilangan biner. Fungsi dari register ini selain sebagai penyimpanan data juga untuk menghindari berkedipnya angka yang ditunjukkan oleh display (seven segment) pada saat menerima pulsa-pulsa yang diberikan oleh decoder.

         Sebuah register geser dapat memindahkan bit-bit yang tersimpan ke kiri atau ke kanan. Register geser dikelompokkan sebagai urutan rangkaian logika, oleh karena itu register geser disusun dari rangkain Flip-Flop. Selain untuk pergeseran data, register geser juga dapat digunakan untuk mengubah data seri ke paralel atau dari data parallel ke seri.

Gambar 2.32 Rangkaian Shift Register




sumbaer :
  • http://www.wikipedia.org/
  • http://www.google.com

www.eelab.usyd.edu.au/




2.3.4 COUNTER DAN REGISTER

Counter
Counter secara umum diklasifikasikan atas counter asyncron dan counter syncronous.

a. Counter Asyncronous
            Counter Asyncronous disebut juga Ripple Through Counter atau Counter Serial(Serial Counter), karena output masing-masing flip-flop yang digunakan akan bergulingan (berubah kondisi dari “0” ke “1”) dan sebaliknya secara berurutan atau langkah demi langkah, hal ini disebabkan karena hanya flip-flop yang paling ujung saja yang dikendalikan oleh sinyal clock, sedangkan sinyal Clock untuk flip-flop lainnya diambilkan dari masing-masing flip-flop sebelumnya. Contoh gambar rangkaian dan simulasi counter asynchronous dapat dilihat pada berikut :

Gambar 2.31 Rangkaian Asynchronous Counter

b. Counter Syncronous
        Counter syncronous disebut sebagai Counter parallel, output flip-flop yang digunakan bergulingan secara serempak. Hal ini disebabkan karena masing-masing flip-flop tersebut dikendalikan secara serempak oleh sinyal Clock.




sumbaer :
  • http://www.wikipedia.org/
  • http://www.google.com
  • www.gitam.edu/eresource



Cara kerja dari FF-JK

Cara kerja dari FF-JK adalah sebagai berikut :
1.   Pada saat J dan K keduanya rendah, gerbang AND tidak memberikan tanggapan sehingga keluaran Q tetap bertahan pada keadaan terakhirnya.

2.   Pada saat J rendah dan K tinggi, maka FF akan diseret hingga diperoleh keluaran Q = 0 (kecuali jika FF memang sudah dalam keadaan reset atau Q memang sudah pada keadaan rendah).
3.   Pada saat J tinggi dan K rendah, maka masukan ini akan mengeset FF hingga
diperoleh keluaran Q = 1 (kecuali jika FF memang sudah dalam keadaan set atau
Q sudah dalam keadaan tinggi).

4.   Pada saat J dak K kedua-duanya tinggi, maka FF berada dalam keadaan "toggle", artinya keluaran Q akan berpindah pada keadaan lawan jika pinggiran pulsa clocknya tiba.




sumbaer :
  • http://www.wikipedia.org/
  • http://www.google.com


Flip-Flop JK

         FF JK mempunyai masukan "J" dan "K". FF ini "dipicu" oleh suatu pinggiran pulsa clock positif atau negatif. FF JK merupakan rangkaian dasar untuk menyusun sebuah pencacah. FF JK dibangun dari rangkaian dasar FF-SR dengan menambahkan dua gerbang AND pada masukan R dan S serta dilengkapi dengan rangkaian diferensiator pembentuk denyut pulsa clock seperti yang ditunjukkan pada gambar 5.8.

Gambar 5.8 Rangkaian FF JK


         Pada FF JK ini, masukan J dan K disebut masukan pengendali karena kedua masukan ini yang menentukan keadaan yang harus dipilih oleh FF pada saat pulsa clock tiba (dapat pinggiran positif atau negatif, tergantung kepada jenis FFnya). FF ini berbeda dengan FF-D karena pada FF-JK masukan clock adalah masukan yang dicacah, dan masukan J serta K adalah masukan yang mengendalikan FF itu. 



sumbaer :
  • http://www.wikipedia.org/
  • http://www.google.com


Flip-Flop Data

Pada FF-SR ada nilai-nilai masukan yang terlarang. Untuk menghindari adanya nilai terlarang tersebut, disusun suatu jenis FF lain yang dinamakan FF Data. Rangkaian ini dapat diperoleh dengan menambahkan satu gerbang NOT pada masukan FF terlonceng sebagai berikut:



Gambar 2.30 Rangkaian FF Data dan Tabel Kebenarannya

Dari gambar 5.7 tersebut terlihat bahwa untuk sinyal clock yang rendah, keluaran Q akan tetap "terkunci" atau "tergerendel" pada nilai terakhirnya. Dalam hal ini dapat dikatakan bahwa pada saat kondisi clock rendah, sinyal masukan D tidak
mempengaruhi keluaran Q. Sedangkan untuk sinyal clock yang tinggi, maka akan
diperoleh keluaran sesuai dengan data D yang masuk saat itu.

sumbaer :
  • http://www.wikipedia.org/
  • http://www.google.com